Programmable Logic Controllers
Programmable Logic Controllers
5th Edition
ISBN: 9780073373843
Author: Frank D. Petruzella
Publisher: McGraw-Hill Education
Question
Book Icon
Chapter 15.4, Problem 5RQ
Program Plan Intro

a.

Counter:

  • It is similar to timer concept.
  • It is used to count the changes that are produced by the state of an outside trigger signal.
  • It is a programmable logic controller (PLC) instruction that either counting up or counting down an integer value when produced by the change of a bit from “0” to “1” or “false” to “true”.
  • In PLC, counter contains three types.
    • Up counters.
    • Down counters.
    • Up/Down counters.

Explanation of Solution

b.

Counter bits set for DN:

  • DN is represented as count-up done bit.
  • This status bit returns the result as a Boolean value...

Explanation of Solution

c.

Counter bits set for CD:

  • CD is represented as count-down enable bit.
  • This status bit returns the result as a Boolean value...

Blurred answer
Students have asked these similar questions
An NRZ (Non-Return to Zero) means that no bit is encoded with Zero level volts. Select one: A. True B. False
The hamming code for the data " 10101010" will be Note : the first bit is the right most bit O a. 0101 on O b. 0100 O c. 0110 O d. 1100 O e. Non of the choices
A simple parity-check code cannot detect errors. Select one: O a. None O b. both even and odd bits O c. even number of bits O d. odd number of bits

Chapter 15 Solutions

Programmable Logic Controllers

Ch. 15.1 - Prob. 11RQCh. 15.1 - Compare the accessibility of program scope and...Ch. 15.1 - Prob. 13RQCh. 15.1 - What is the difference between a produced tag and...Ch. 15.1 - Prob. 15RQCh. 15.1 - State the data type used for each of the...Ch. 15.1 - Describe the make-up of a predefined structure.Ch. 15.1 - Describe the make-up of a module-defined...Ch. 15.1 - Describe the make-up of a user-defined structure.Ch. 15.1 - Prob. 20RQCh. 15.1 - Prob. 21RQCh. 15.1 - Prob. 22RQCh. 15.1 - Prob. 23RQCh. 15.2 - Prob. 1RQCh. 15.2 - Prob. 2RQCh. 15.2 - Prob. 3RQCh. 15.2 - Prob. 4RQCh. 15.2 - Prob. 5RQCh. 15.2 - Prob. 6RQCh. 15.2 - Prob. 7RQCh. 15.2 - Prob. 8RQCh. 15.2 - Prob. 9RQCh. 15.2 - Prob. 10RQCh. 15.2 - Prob. 11RQCh. 15.2 - Extend control of the original ControlLogix...Ch. 15.2 - Prob. 3PCh. 15.3 - Prob. 1RQCh. 15.3 - Prob. 2RQCh. 15.3 - Prob. 3RQCh. 15.3 - Prob. 4RQCh. 15.3 - Prob. 5RQCh. 15.3 - Prob. 6RQCh. 15.3 - Prob. 7RQCh. 15.3 - Prob. 8RQCh. 15.3 - Prob. 9RQCh. 15.3 - Prob. 10RQCh. 15.3 - Prob. 11RQCh. 15.3 - Prob. 12RQCh. 15.3 - Modify the original CLX ten-second TON timer...Ch. 15.3 - Prob. 2PCh. 15.3 - Prob. 3PCh. 15.3 - Prob. 4PCh. 15.3 - Prob. 5PCh. 15.3 - Prob. 6PCh. 15.4 - Prob. 1RQCh. 15.4 - Prob. 2RQCh. 15.4 - Prob. 3RQCh. 15.4 - Prob. 4RQCh. 15.4 - Prob. 5RQCh. 15.4 - Prob. 6RQCh. 15.4 - Prob. 7RQCh. 15.4 - Prob. 1PCh. 15.4 - Prob. 2PCh. 15.5 - Prob. 1RQCh. 15.5 - Prob. 2RQCh. 15.5 - Prob. 3RQCh. 15.5 - Prob. 4RQCh. 15.5 - Prob. 5RQCh. 15.5 - Construct a ControlLogix ladder rung with compare...Ch. 15.5 - Prob. 2PCh. 15.5 - A single pole switch is used in place of the two...Ch. 15.6 - Prob. 1RQCh. 15.6 - Name the four basic elements of an FBD.Ch. 15.6 - Prob. 3RQCh. 15.6 - Prob. 4RQCh. 15.6 - Prob. 5RQCh. 15.6 - Prob. 6RQCh. 15.6 - Prob. 7RQCh. 15.6 - Prob. 8RQCh. 15.6 - Prob. 9RQCh. 15.6 - Prob. 10RQCh. 15.6 - Prob. 11RQCh. 15.6 - How is a function block feedback loop created?Ch. 15.6 - Prob. 13RQCh. 15.6 - Prob. 14RQCh. 15.6 - Prob. 1PCh. 15.6 - Prob. 2PCh. 15.6 - Prob. 3PCh. 15.6 - Prob. 4PCh. 15.6 - Prob. 5P
Knowledge Booster
Background pattern image
Similar questions
SEE MORE QUESTIONS
Recommended textbooks for you
Text book image
Database System Concepts
Computer Science
ISBN:9780078022159
Author:Abraham Silberschatz Professor, Henry F. Korth, S. Sudarshan
Publisher:McGraw-Hill Education
Text book image
Starting Out with Python (4th Edition)
Computer Science
ISBN:9780134444321
Author:Tony Gaddis
Publisher:PEARSON
Text book image
Digital Fundamentals (11th Edition)
Computer Science
ISBN:9780132737968
Author:Thomas L. Floyd
Publisher:PEARSON
Text book image
C How to Program (8th Edition)
Computer Science
ISBN:9780133976892
Author:Paul J. Deitel, Harvey Deitel
Publisher:PEARSON
Text book image
Database Systems: Design, Implementation, & Manag...
Computer Science
ISBN:9781337627900
Author:Carlos Coronel, Steven Morris
Publisher:Cengage Learning
Text book image
Programmable Logic Controllers
Computer Science
ISBN:9780073373843
Author:Frank D. Petruzella
Publisher:McGraw-Hill Education