Figuré 2 shows a ballistic pendulum consists of 5 kg slender rod and 10 kg solid cylinder. The pendulum is originally at rest, 8 = 0°. A 10 g bullet with velocity v is fired to the solid cylinder. a) Determine the location of y of the center of mass G of the pendulum. Then, calculate the moment of inertia of the pendulum about an axis perpendicular to the page and passing through G. b) Initially, it is estimated that the value of v is 622 m/s. Analyze the angular velocity of the cylinder just after the bullet embedded. Also, determine the expected value of maximum angle 6 will swing when the pendulum stops. Then, evaluate the expected amount of energy lost during impact in percentage. c) However, it is observed that the cylinder swing upward to a maximum angle of 8 = 22°. Determine the actual initial value of v. Then, analyze the linear impulse imparted by the bullet on the cylinder. Also, evaluate the force on the rod immediately just after the impact.

Elements Of Electromagnetics
7th Edition
ISBN:9780190698614
Author:Sadiku, Matthew N. O.
Publisher:Sadiku, Matthew N. O.
ChapterMA: Math Assessment
Section: Chapter Questions
Problem 1.1MA
icon
Related questions
Question
Figure 2 shows a ballistic pendulum consists of 5 kg slender rod and 10 kg solid cylinder. The
pendulum is originally at rest, 0 = 0°. A 10 g bullet with velocity v is fired to the solid cylinder.
a) Determine the location of y of the center of mass G of the pendulum. Then, calculate
the moment of inertia of the pendulum about an axis perpendicular to the page and
passing through G.
b) Initially, it is estimated that the value of v is 622 m/s. Analyze the angular velocity of
the cylinder just after the bullet embedded. Also, determine the expected value of
maximum angle e will swing when the pendulum stops. Then, evaluate the expected
amount of energy lost during impact in percentage.
c) However, it is observed that the cylinder swing upward to a maximum angle of 8 = 22°.
Determine the actual initial value of v. Then, analyze the linear impulse imparted by
the bullet on the cylinder. Also, evaluate the force on the rod immediately just after the
impact.
2 m
0.5 m
Transcribed Image Text:Figure 2 shows a ballistic pendulum consists of 5 kg slender rod and 10 kg solid cylinder. The pendulum is originally at rest, 0 = 0°. A 10 g bullet with velocity v is fired to the solid cylinder. a) Determine the location of y of the center of mass G of the pendulum. Then, calculate the moment of inertia of the pendulum about an axis perpendicular to the page and passing through G. b) Initially, it is estimated that the value of v is 622 m/s. Analyze the angular velocity of the cylinder just after the bullet embedded. Also, determine the expected value of maximum angle e will swing when the pendulum stops. Then, evaluate the expected amount of energy lost during impact in percentage. c) However, it is observed that the cylinder swing upward to a maximum angle of 8 = 22°. Determine the actual initial value of v. Then, analyze the linear impulse imparted by the bullet on the cylinder. Also, evaluate the force on the rod immediately just after the impact. 2 m 0.5 m
Expert Solution
steps

Step by step

Solved in 4 steps with 1 images

Blurred answer
Knowledge Booster
Moment of Inertia
Learn more about
Need a deep-dive on the concept behind this application? Look no further. Learn more about this topic, mechanical-engineering and related others by exploring similar questions and additional content below.
Similar questions
  • SEE MORE QUESTIONS
Recommended textbooks for you
Elements Of Electromagnetics
Elements Of Electromagnetics
Mechanical Engineering
ISBN:
9780190698614
Author:
Sadiku, Matthew N. O.
Publisher:
Oxford University Press
Mechanics of Materials (10th Edition)
Mechanics of Materials (10th Edition)
Mechanical Engineering
ISBN:
9780134319650
Author:
Russell C. Hibbeler
Publisher:
PEARSON
Thermodynamics: An Engineering Approach
Thermodynamics: An Engineering Approach
Mechanical Engineering
ISBN:
9781259822674
Author:
Yunus A. Cengel Dr., Michael A. Boles
Publisher:
McGraw-Hill Education
Control Systems Engineering
Control Systems Engineering
Mechanical Engineering
ISBN:
9781118170519
Author:
Norman S. Nise
Publisher:
WILEY
Mechanics of Materials (MindTap Course List)
Mechanics of Materials (MindTap Course List)
Mechanical Engineering
ISBN:
9781337093347
Author:
Barry J. Goodno, James M. Gere
Publisher:
Cengage Learning
Engineering Mechanics: Statics
Engineering Mechanics: Statics
Mechanical Engineering
ISBN:
9781118807330
Author:
James L. Meriam, L. G. Kraige, J. N. Bolton
Publisher:
WILEY