Run the programme and identify the outputs:

Computer Networking: A Top-Down Approach (7th Edition)
7th Edition
ISBN:9780133594140
Author:James Kurose, Keith Ross
Publisher:James Kurose, Keith Ross
Chapter1: Computer Networks And The Internet
Section: Chapter Questions
Problem R1RQ: What is the difference between a host and an end system? List several different types of end...
icon
Related questions
Question
Run the programme and identify the outputs:
#include <iostream>
using namespace std;
void Test (int , int,int []);
void Test (int num, int numl, int
z[])
{
num=1001;
numl=290;
int main ()
{
int x = 1;
int y[3];
y[0]=1;
Test (x,V[0],y);
z[1]=34;
z[2]=35;
}
cout<<"x is:
cout<<"y[0] is:
<< x<< endl;
<<y[0] << endl;
www
for (int i=0;i<3;i++)
cout<<y[i]<<endl;
system("pause");
return 0;
}
Transcribed Image Text:Run the programme and identify the outputs: #include <iostream> using namespace std; void Test (int , int,int []); void Test (int num, int numl, int z[]) { num=1001; numl=290; int main () { int x = 1; int y[3]; y[0]=1; Test (x,V[0],y); z[1]=34; z[2]=35; } cout<<"x is: cout<<"y[0] is: << x<< endl; <<y[0] << endl; www for (int i=0;i<3;i++) cout<<y[i]<<endl; system("pause"); return 0; }
Expert Solution
steps

Step by step

Solved in 2 steps with 2 images

Blurred answer
Recommended textbooks for you
Computer Networking: A Top-Down Approach (7th Edi…
Computer Networking: A Top-Down Approach (7th Edi…
Computer Engineering
ISBN:
9780133594140
Author:
James Kurose, Keith Ross
Publisher:
PEARSON
Computer Organization and Design MIPS Edition, Fi…
Computer Organization and Design MIPS Edition, Fi…
Computer Engineering
ISBN:
9780124077263
Author:
David A. Patterson, John L. Hennessy
Publisher:
Elsevier Science
Network+ Guide to Networks (MindTap Course List)
Network+ Guide to Networks (MindTap Course List)
Computer Engineering
ISBN:
9781337569330
Author:
Jill West, Tamara Dean, Jean Andrews
Publisher:
Cengage Learning
Concepts of Database Management
Concepts of Database Management
Computer Engineering
ISBN:
9781337093422
Author:
Joy L. Starks, Philip J. Pratt, Mary Z. Last
Publisher:
Cengage Learning
Prelude to Programming
Prelude to Programming
Computer Engineering
ISBN:
9780133750423
Author:
VENIT, Stewart
Publisher:
Pearson Education
Sc Business Data Communications and Networking, T…
Sc Business Data Communications and Networking, T…
Computer Engineering
ISBN:
9781119368830
Author:
FITZGERALD
Publisher:
WILEY